Jumat, 29 Oktober 2010

LIBRARY YANG TERDAPAT PADA VHDL

Penjelasan tentang VHDL
VHDL adalah bahasa untuk mendeskripsikan hardware elektronika digital; singkatan dari VHSIC Hardware Description Language. VHDL merupakan standar IEEE/ANSI dan Departemen Pertahanan US. Pertama kali dipublikasikan oleh IEEE pada tahun 1987, dengan label IEEE Std 1076-1987. Bahasa ini telah mengalami modifikasi dan revisi, dengan versi terbaru berlabel IEEE Std 1076-1993.

Pengertian Library
Panel library adalah tempat dimana kita menyimpan dan mengelola symbol yang dibuat dalam Flast, seperti juga file-file yang diimpor, termasuk gambar bitmap, soud file, dan video klip.

Standart Library Ada 4:
1. LIBRARY IEEE ; ( Membaca Paket Standart Dari Library IEEE)
2. USE IEEE.IEEE.STD_LOGIC_1164.ALL; (Memasukan Semua Bagian Dari IEEE STD_LOGIC Variable )
3. USE IEEE.STD_LOGIC_ARITH_ALL; ( Memasukan Semua Operasi Aritmatika Untuk Standart Logic Variable)
4. USE IEEE.STD_LOGIC_UNSIGNED.ALL; ( Memasukan Semua Fungsi Yang Belum Di Desain Untuk Operasi Arithmatic )

EXAMPLE ;

– Package declaration

library ieee;

use ieee.std_logic_1164.all;

package basic_func is

— AND2 declaration

component AND2

generic (DELAY: time :=5ns);

port (in1, in2: in std_logic; out1: out std_logic);

end component;

– OR2 declaration

component OR2

generic (DELAY: time :=5ns);

port (in1, in2: in std_logic; out1: out std_logic);

end component;

end package basic_func;



Sumber ; http://www.interfacebus.com/Design_VHDL_Pitfalls.html

http://www.faculty.petra.ac.id/herisw/rse.ppt

Tidak ada komentar:

Posting Komentar